建设一个网站app需要多少钱,wordpress里的主题怎么购买,春哥 响应式网站建设,公司微信网站制作#x1f389;欢迎来到FPGA专栏~小精灵V2开发板初使用 ☆* o(≧▽≦)o *☆嗨~我是小夏与酒#x1f379; ✨博客主页#xff1a;小夏与酒的博客 #x1f388;该系列文章专栏#xff1a;FPGA学习之旅 文章作者技术和水平有限#xff0c;如果文中出现错误#xff0c;希望大家… 欢迎来到FPGA专栏~小精灵V2开发板初使用 ☆* o(≧▽≦)o *☆嗨~我是小夏与酒 ✨博客主页小夏与酒的博客 该系列文章专栏FPGA学习之旅 文章作者技术和水平有限如果文中出现错误希望大家能指正 欢迎大家关注 ❤️ 小精灵V2开发板初使用-实例目录一、开发板介绍开发板详细介绍开发板展示二、基本使用LED操作数码管显示LCD显示蜂鸣器驱动一、开发板介绍
该系列文章只是作为学习记录并无其余用途。所发文章内容是经过自己本身操作和记录整理得来。 本篇文章主要记录小精灵V2Spirit_V2开发板初使用过程。 【小月电子】大佬博客链接Moon_3181961725 【FPGA】Altera Cyclone IV EP4CE6入门系统板购买链接EP4CE6 开发板详细介绍
小精灵V2Spirit_V2: Spirit_V2Altera Cyclone IV EP4CE6 部位对应参数管脚数144个I/O管脚电压3.3V内核电压1.2V配置芯片EPCS4时钟源50M
外围器件 ①按键模块 ②发光二极管LED模块 ③有源蜂鸣器 ④六位数码管-共阴极数码管 ⑤12864/1602液晶接口 其余扩展接口
开发板展示
开发板下载器电源线 注意 需要在断电的情况下才能插拔下载器否则容易引起芯片损坏。 二、基本使用
LED操作 LED闪烁
module led_flash(Clk50M,Rst_n,leds
);input Clk50M;input Rst_n;output reg [7:0]leds;reg [29:0]cnt;//定时器的设定always(posedge Clk50M or negedge Rst_n)if(!Rst_n)cnt 30d0;else if(cnt 29d49_999_999)cnt 30d0;else cnt cnt 1b1;//leds的控制always(posedge Clk50M or negedge Rst_n)if(!Rst_n)leds 8b11111110;else if(cnt 29d49_999_999)leds {leds[6:0],leds[7]};else leds leds;endmodule数码管显示
数码管静态显示 数码管静态显示
module smg_1(smg_bit,smg_seg
);output [5:0]smg_bit;output [7:0]smg_seg;assign smg_bit 6b111110;assign smg_seg 8hb0;endmodule数码管动态扫描 最简单的方式实现数码管动态扫描
module smg_D(Clk50M,Rst_n,sm_bit,sm_seg
);input Clk50M;input Rst_n;output reg [5:0]sm_bit;output reg [7:0]sm_seg;reg [24:0]cnt;always(posedge Clk50M or negedge Rst_n)if(!Rst_n)cnt 25d0;else if(cnt 25d250_000)cnt 25d0;elsecnt cnt 1b1;//数码管动态扫描显示部分always (posedge Clk50M) begincase(cnt[15:13]) //选择扫描显示数据3d0:begin sm_bit 6b111110; //选择第1个数码管显示sm_seg 8hf9; //显示1end3d1:begin sm_bit 6b111101; //选择第2个数码管显示sm_seg 8ha4; //显示2end 3d2:begin sm_bit 6b111011; //选择第3个数码管显示sm_seg 8hb0; //显示3end 3d3:begin sm_bit 6b110111; //选择第4个数码管显示sm_seg 8h99; //显示4end3d4:begin sm_bit 6b101111; //选择第5个数码管显示sm_seg 8h92; //显示5end 3d5:begin sm_bit 6b011111; //选择第6个数码管显示sm_seg 8h82; //显示6enddefault:sm_bit 6b111111; //不显示endcase endendmoduleLCD显示 LCD显示模块例程
///
//QQ:3181961725
//TEL:13540738439
//作者Mr Wang
//模块介绍LCD1602显示驱动
///
module LCD ( input clk ,//系统时钟输入50Minput rst_n ,//复位低电平有效output reg [7:0] dat ,//LCD的8位数据口output reg rs ,//数据命令选择信号高电平表示数据低电平表示命令output rw ,//读写标志高电平表示读低电平表示写该程序我们只对液晶屏进行写操作output en //LCD的控制脚);reg [15:0] counter ; reg [ 5:0] current ; reg clkr ; reg e ;//定义了LCD状态机需要的状态。parameter set0 6d0; parameter set1 6d1; parameter set2 6d2; parameter set3 6d3; parameter set4 6d4; parameter dat0 6d5; parameter dat1 6d6; parameter dat2 6d7; parameter dat3 6d8; parameter dat4 6d9; parameter dat5 6d10;parameter dat6 6d11; parameter dat7 6d12; parameter dat8 6d13; parameter dat9 6d14;parameter dat106d15; parameter dat116d16;parameter dat126d17; parameter dat136d18; parameter dat146d19; parameter dat156d20; parameter fini6hF1; always (posedge clk or negedge rst_n) //da de data_w1 zhong pinlv begin if(!rst_n)begincounter0;clkr0;endelsebegincountercounter1; if(counter16h000f) clkr~clkr; else;endend always (posedge clkr or negedge rst_n) begin if(!rst_n)begincurrentset0;dat0;rs0;e1;endelsebegincase(current) set0: begin e0;rs0; dat8h38; currentset1; end //*设置8位格式,2行,5*7*set1: begin e0;rs0; dat8h0C; currentset2; end //*整体显示,关光标,不闪烁*/ set2: begin e0;rs0; dat8h06; currentset3; end //*设定输入方式,增量不移位*/ set3: begin e0;rs0; dat8h01; currentset4; end //*清除显示*/ set4: begin e0;rs0; dat8h00; currentdat0; end //设置显示第一行dat0: begin e0;rs1; datH; currentdat1; end dat1: begin e0;rs1; datE; currentdat2; end dat2: begin e0;rs1; datL; currentdat3; end dat3: begin e0;rs1; datL; currentdat4; end dat4: begin e0;rs1; datO; currentdat5; end dat5: begin e0;rs1; dat ; currentdat6; end dat6: begin e0;rs1; datF; currentdat7; end dat7: begin e0;rs1; datP; currentdat8; end dat8: begin e0;rs1; datG; currentdat9; end dat9: begin e0;rs1; datA; currentdat10 ; end dat10: begin e0;rs1; dat!; currentdat11; end dat11: begin e0;rs1; dat1; currentdat12; end dat12: begin e0;rs1; dat2; currentdat13; end dat13: begin e0;rs1; dat3; currentdat14; end dat14: begin e0;rs1; dat4; currentdat15; end dat15: begin e0;rs1; dat5; currentfini; end fini: begin e1;rs0; dat8h00; enddefault: currentset0; endcase endend assign enclkr|e; assign rw0; endmodule 蜂鸣器驱动 【FPGA】Spirit_V2驱动有源蜂鸣器最简单的方式驱动板载有源蜂鸣器
module beep ( key,beep);input keyoutput beepassign beep key?1b1:1b0;endmodule 结尾 ❤️ 感谢您的支持和鼓励 您可能感兴趣的内容【FPGA零基础学习之旅#1】 AC620V2开发板测试 【Go黑帽子】使用Golang编写一个TCP扫描器基础篇 【Arduino TinyGo】【最新】使用Go语言编写Arduino-环境搭建和点亮LED灯 Labview机器视觉-USB摄像头识别一维码条形码- 学习记录