当前位置: 首页 > news >正文

那些网站主做玄幻小说logo网站设计图片

那些网站主做玄幻小说,logo网站设计图片,淮安网站设计公司,搬瓦工wordpress建站实验目的 PL_LED0 和 PL_LED1 连接到 ZYNQ 的 PL 端#xff0c;PL_LED0 和 PL_LED1循环往复产生流水灯的效果#xff0c;流水间隔时间为 0.5s。 原理图 程序设计 本次实验是需要实现两个LED的循环熄灭点亮#xff0c;时间间隔是0.5S,对时间间隔的控制使用计数器来完成。本…实验目的 PL_LED0 和 PL_LED1 连接到 ZYNQ 的 PL 端PL_LED0 和 PL_LED1循环往复产生流水灯的效果流水间隔时间为 0.5s。 原理图 程序设计 本次实验是需要实现两个LED的循环熄灭点亮时间间隔是0.5S,对时间间隔的控制使用计数器来完成。本次实验需要使用系统时钟并且添加系统复位。所以可以得到下面的模块示意图。 板载的系统时钟是50MHZ周期是20ns 我们需要的时间间隔是0.5s 计数器需要的时钟周期数是0.5S/20ns 25000000 所以计数器最大计数到25000000-1就是0.5S 仿真代码tb_flow_led.v timescale 1ns / 1ns // 仿真单位 / 仿真时间module tb_flow_led();//声明了一个名为tb_flow_led的测试平台Testbench模块parameter CLK_PERIOD 20;//系统时钟是50MHZ 周期是20nsreg sys_clk; reg sys_rst_n;wire [1:0] led;//信号初始化 initial beginsys_clk 1b0;sys_rst_n 1b0;#200 //表示延迟 200 个时间单位sys_rst_n 1b1; end//产生时钟 always #(CLK_PERIOD/2) sys_clk ~sys_clk;//例化待测设计 flow_led u_flow_led(.sys_clk(sys_clk),.sys_rst_n(sys_rst_n),.led(led) ); endmodule仿真 新建工程 查看RTL原理图 约束管脚 ctrl S 保存 这个可以看到约束文件 添加周期约束 将时钟周期设置为 20ns对应 50MHz 的频率。 # 创建时钟周期约束 create_clock -period 20.000 -name sys_clk [get_ports sys_clk]# IO 引脚约束 set_property PACKAGE_PIN U18 [get_ports sys_clk] set_property IOSTANDARD LVCMOS33 [get_ports sys_clk] set_property PACKAGE_PIN N16 [get_ports sys_rst_n] set_property IOSTANDARD LVCMOS33 [get_ports sys_rst_n] set_property PACKAGE_PIN L15 [get_ports {led[1]}] set_property PACKAGE_PIN H15 [get_ports {led[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}] 生成bit文件 查看LED初始值 下载验证 先连接好线再上电 下载后确实可以看到LED交替闪烁 总结 1、主要还是熟悉流程和编写代码 完成比完美更加重要 学习来源正点原子
http://www.dnsts.com.cn/news/206027.html

相关文章:

  • 天津网站建设 Wordpress网站建设与管理和电子商务哪个好
  • 公司简介图片网站运营推广选择乐云seo
  • 建设春风摩托车官方网站台州建设规划局网站
  • 网站的开发方法wordpress屏蔽远程头像
  • 目前主流的网站开发语言如何在服务器上搭建网站
  • 多图片网站优化系统优化大师官方下载
  • 免费做ppt的网站有哪些昆明网站推广
  • 网站开发安全性分析青海城乡和住房建设厅网站
  • 网站入门seo公司哪家好咨询
  • 如何制作建筑公司网站成都调查事务所
  • 域名网站免费建站宁波做网站优化的公司
  • 电影网站源码程序网站专题页面
  • 求做外宣图网站单页面网站入侵
  • 网页设计和网站建设是同一回事吗企业做产品网站费用大概是多少
  • 网站做好了怎么做后台管理sae wordpress 主题 下载
  • 做网站需要买wordpress使用jquery
  • 做的网站怎么样才能再网上看到电子商务经营范围有哪些?
  • 企业网站建设排名官网企业管理系统大全免费
  • 网站建设 康盛设计html代码大全很全的
  • 东莞seo网站排名优化公司空壳网站数据
  • 艺术学校示范校建设专题网站广州安全信息教育平台
  • 龙华区住房和建设局网站是哪个视频网站信息资源建设
  • c 企业网站开发wordpress失败
  • 浙江短视频seo优化网站wordpress分类信息 模板
  • 做内贸现在一般都通过哪些网站wordpress实体图
  • win7 iis配置本地网站企业信息公开网站
  • 运动网站建设主题大型网站技术架构演进与性能优化
  • 换友情链接的网站wordpress 虾米音乐插件
  • 南漳网站制作梧州论坛藤县论坛
  • 建一个网站的手机电脑购物网站制作实例