当前位置: 首页 > news >正文

随州网站建设多少钱忘记了wordpress登录密码怎么办?

随州网站建设多少钱,忘记了wordpress登录密码怎么办?,物流网站建设模板下载,马大姐网站建设目的相关阅读 Design Compilerhttps://blog.csdn.net/weixin_45791458/category_12738116.html?spm1001.2014.3001.5482 解组(Ungroup)指的是指将某一层级中的子设计#xff08;或者说模块#xff09;合并到其父设计中#xff0c;通常在当前设计的层次划分不合理导致优化受限时…相关阅读 Design Compilerhttps://blog.csdn.net/weixin_45791458/category_12738116.html?spm1001.2014.3001.5482 解组(Ungroup)指的是指将某一层级中的子设计或者说模块合并到其父设计中通常在当前设计的层次划分不合理导致优化受限时使用如图1所示。 图1 解组的示意图 解组可以分为两类一类是用户显式指定的而另一类是由Design Compiler自动进行的下面将分别进行讨论。 发生解组的情况 显式解组 ungroup命令 使用ungroup命令可以直接进行解组下面展示了该命令的一些基本使用方式。 1、假设存在顶层设计top和middle设计顶层设计中middle设计例化为u_middle单元使用ungroup命令即可对层次单元u_middle进行解组由于Design Compiler发现middle设计此时没有被其他设计例化了因此其被删除被解组的层次单元中的对象在解组后将属于顶层设计对象名字会添加层次路径前缀但需要注意的是此时/不再是层次分隔符而是对象名字的一部分。 dc_shell list_designs middle top (*) dc_shell get_cells {u_middle} dc_shell ungroup [get_cells u_middle] dc_shell list_designs top (*) dc_shell get_cells # get_cells命令默认返回当前实例中的单元不包括跨层次单元 {u_middle/sum_reg u_middle/U1} 2、假设存在顶层设计top和middle设计顶层设计中middle设计例化为u_middle单元使用ungroup命令配合-prefix选项可以指定解组后被解组的层次单元中的对象的前缀。 dc_shell ungroup [get_cells u_middle] -prefix TEST: dc_shell get_cells {TEST:sum_reg TEST:U1} 3、假设存在顶层设计top、middle设计和bottom设计顶层设计中middle设计例化为u_middle单元middle设计中bottom设计例化为u_bottom单元使用ungroup命令即可对层次单元u_middle进行解组但需要注意的是解组默认是不递归的使用-flatten可选项可以递归解组。 dc_shell ungroup [get_cells u_middle] dc_shell get_cells {u_middle/u_bottom} dc_shell ungroup [get_cells u_middle] -flatten dc_shell get_cells {u_middle/u_bottom/sum_reg u_middle/u_bottom/U1} 4、假设存在顶层设计top、middle设计和bottom设计顶层设计中middle设计例化为u_middle单元middle设计中bottom设计例化为u_bottom单元使用ungroup命令配合-start_level选项表示对指定层次单元下的第几层递归解组隐含-flatten选项若为1则相当于对该层次单元递归解组。 dc_shell ungroup [get_cells u_middle] -start_level 1 dc_shell get_cells {u_middle/u_bottom/sum_reg u_middle/u_bottom/U1} dc_shell ungroup [get_cells u_middle] -start_level 2 dc_shell get_cells {u_middle} dc_shell ungroup [get_cells u_middle/u_bottom] -start_level 1 dc_shell get_cells {u_middle} 5、假设存在顶层设计top、middle设计和bottom设计顶层设计中middle设计例化为u_middle1单元和u_middle2单元middle设计中bottom设计例化为u_bottom单元无法使用ungroup命令对层次单元u_bottom进行解组因为其父设计不是唯一的解组需要对middle设计进行处理但middle设计在其他地方也被例化了。 dc_shell ungroup [get_cells u_middle1/u_bottom] Error: Cannot perform ungroup on the instance u_middle1/u_bottom becauseparent is not a unique instantiation of design middle. Pleasererun the command after uniquifying the instance. (UID-379) set_ungroup命令 使用set_ungroup命令可以设置层次单元的ungroup属性该属性为true的层次单元将在综合时被解组下面展示了该命令的基本使用方式。 假设存在顶层设计top和middle设计顶层设计中middle设计例化为u_middle单元使用set_ungroup命令设置u_middle单元的ungroup属性为true后使用compile命令进行综合即可对层次单元u_middle进行解组被解组的层次单元中的对象在解组后将属于顶层设计对于层次单元和触发器对象对象名字会添加层次路径前缀但需要注意的是此时/不再是层次分隔符而是对象名字的一部分。 dc_shell set_ungroup [get_cells u_middle] true dc_shell compile dc_shell get_cells {u_middle/sum_reg U1} compile命令 使用compile命令进行综合时添加-ungroup_all选项可以递归解组当前设计中的所有层次单元下面展示了该命令的基本使用方式。 dc_shell compile -ungroup_all # 解组效果相当于ungroup -all -flatten dc_shell get_cells {u_middle/sum_reg U1} 自动解组 compile命令 使用compile命令进行综合时添加-auto_ungroup delay/area选项可以根据时序/面积对当前设计内层次单元进行自动解组。 如果选择根据时序自动解组Design Compiler将使用一种智能解组策略旨在提升设计的整体时序性能该策略选择最有可能从解组中获益的层级结构重点优化包含关键路径或在后续优化步骤中可能变为关键路径的层级下面展示了该命令的基本使用方式。。 dc_shell compile -auto_ungroup delay dc_shell get_cells {u_middle} # 由于不存在关键路径解组不发生 如果选择根据面积自动解组Design Compiler将取对设计中面积较小的模块进行解组以提升面积优化效果对设计的时序影响较小。compile_auto_ungroup_count_leaf_cells变量默认值为false用于指定计算面积时是否只统计叶单元下面展示了该命令的基本使用方式。。 dc_shell compile -auto_ungroup area dc_shell get_cells {u_middle/sum_reg U1} compile_ultra命令 使用compile_ultra命令进行综合时可以根据时序、面积和结果质量对当前设计内层次单元进行自动解组。 在初始映射阶段前Design Compiler会执行基于面积的解组工具会根据GTECH估算尚未映射的层级面积这与compile命令根据面积的自动解组不同后者发生在门级优化阶段删除面积较小的模块目的是提升时序和面积优化的结果质量(QoR)。由于此过程发生在优化早期优化上下文更完整。此外还会启用跨层级的数据路径提取从而进一步改善时序和面积。 在时序优化阶段Design Compiler会对关键路径上的层级结构执行解组主要用于时序性能的优化。 使用compile_ultra命令添加-spg选项时Design Compiler会取消更多层级结构以提升结果质量(QoR)。 下面展示了该命令的基本使用方式。 dc_shell compile_ultra dc_shell get_cells {u_middle/sum_reg U1} 报告自动解组分组的层级 可使用report_ungroup命令报告哪些层级在综合过程中被自动解组不适用于ungroup命令哪些因限制未被解组下面展示了该命令的基本使用方式。 dc_shell compile_ultra dc_shell report_ungroup**************************************** Report : report_ungroup Design : top Version: W-2024.09-SP2 Date : Fri Jun 13 23:47:59 2025 ****************************************Descriptions of reasons for ungrouping and preserving hierarchy AU - Cell is ungrouped due to auto_ungrouping SAU - Cell has user set_autoungroup_options settings UU - Cell has user specific set_ungroup true command UP - Cell has user specific set_ungroup false command BO - Cell has boundary optimization restriction MUX - Cell has mux no-ungroup restriction UPF - Cell has upf no-ungroup restriction DFT - Cell has dft no-ungroup restriction DT - Cell has dont_touch attribute TC - Cell has timing constraints OTH - Cell has other kind of restrictions | | No.of | | | | | leaf | | | | Cell Name | cells | Status | Reason || u_middle | 2 | ungrouped | AU || Hierarchy | Count || user removed hierarchies | 0 | | auto ungrouped hierarchies | 1 | | user preserved hierarchies | 0 | **************************************** 不发生解组的情况 有些情况下解组不会发生下面将对此进行列举假设存在顶层设计top和middle设计顶层设计中middle设计例化为u_middle单元。 显式解组 ungroup命令 当层次单元设置了dont_touch属性时其不能使用ungroup命令解组除非指定了-force选项如下所示。 dc_shell set_dont_touch [get_cells u_middle] dc_shell ungroup [get_cells u_middle] Warning: All specified hierarchical cells are dont touched. No cells can be ungrouped. (UID-230) dc_shell ungroup -force [get_cells u_middle] dc_shell get_cells {u_middle/sum_reg u_middle/U1} set_ungroup命令 当使用set_ungroup命令设置层次单元的ungroup属性为true但又设置了dont_touch属性时综合时无法解组如下所示。 ​dc_shell set_dont_touch [get_cells u_middle] ​dc_shell set_ungroup [get_cells u_middle] dc_shell compile dc_shell get_cells {u_middle} compile命令 1、当层次单元设置了dont_touch属性时使用compile命令进行综合时添加-ungroup_all选项无法解组如下所示。 ​dc_shell set_dont_touch [get_cells u_middle] dc_shell compile -ungroup_all dc_shell get_cells {u_middle} 2、当使用set_ungroup命令设置层次单元的ungroup属性为false时使用compile命令进行综合时添加-ungroup_all选项无法解组如下所示。 ​dc_shell set_ungroup [get_cells u_middle] false dc_shell compile -ungroup_all dc_shell get_cells {u_middle} 自动解组 compile命令 如果选择根据时序自动解组 1、当不存在关键路径时解组不发生使用compile命令进行综合时添加-auto_ungroup delay选项无法解组如下所示。 dc_shell compile -auto_ungroup delay # 没有设置时序约束 dc_shell get_cells {u_middle} 2、当层次单元设置了dont_touch属性时使用compile命令进行综合时添加-auto_ungroup delay选项无法解组如下所示。 ​dc_shell set_dont_touch [get_cells u_middle] dc_shell compile -auto_ungroup delay dc_shell get_cells {u_middle} 3、当使用set_ungroup命令设置层次单元的ungroup属性为false时使用compile命令进行综合时添加-auto_ungroup delay选项无法解组如下所示。  ​dc_shell set_ungroup [get_cells u_middle] false dc_shell compile -auto_ungroup delay dc_shell get_cells {u_middle} 4、当使用set_boundary_optimization命令禁止层次单元的边界优化时使用compile命令进行综合时添加-auto_ungroup delay选项无法解组如下所示。  ​dc_shell set_boundary_optimization [get_cells u_middle] false dc_shell compile -auto_ungroup delay dc_shell get_cells {u_middle} 5、在非拓扑模式下当层次单元的线负载模型与其父设计的线负载模型不同时使用compile命令进行综合时添加-auto_ungroup delay选项无法解组除非设置compile_auto_ungroup_override_wlm变量为true如下所示。  ​dc_shell set_wire_load_mode enclosed # 指定下层设计使用自己的线负载模型 dc_shell set_wire_load_model -name tsmc090_wl20 [current_design] dc_shell set_wire_load_model -name tsmc090_wl10 [get_cells u_middle] dc_shell compile -auto_ungroup delay dc_shell get_cells {u_middle} dc_shell set_app_var compile_auto_ungroup_override_wlm true dc_shell compile -auto_ungroup delay {u_middle/sum_reg u_middle/U1} 如果选择根据面积自动解组 1、当层次单元设置了dont_touch属性时使用compile命令进行综合时添加-auto_ungroup area选项无法解组如下所示。 ​dc_shell set_dont_touch [get_cells u_middle] dc_shell compile -auto_ungroup area dc_shell get_cells {u_middle} 2、当使用set_ungroup命令设置层次单元的ungroup属性为false时使用compile命令进行综合时添加-auto_ungroup area选项无法解组如下所示。  ​dc_shell set_ungroup [get_cells u_middle] false dc_shell compile -auto_ungroup area dc_shell get_cells {u_middle} 3、当使用set_boundary_optimization命令禁止层次单元的边界优化时使用compile命令进行综合时添加-auto_ungroup area选项无法解组如下所示。  ​dc_shell set_boundary_optimization [get_cells u_middle] false dc_shell compile -auto_ungroup area dc_shell get_cells {u_middle} 4、在非拓扑模式下当层次单元的线负载模型与其父设计的线负载模型不同时使用compile命令进行综合时添加-auto_ungroup area选项无法解组除非设置compile_auto_ungroup_override_wlm变量默认值为false为true如下所示。   ​dc_shell set_wire_load_mode enclosed # 指定下层设计使用自己的线负载模型 dc_shell set_wire_load_model -name tsmc090_wl20 [current_design] dc_shell set_wire_load_model -name tsmc090_wl10 [get_cells u_middle] dc_shell compile -auto_ungroup area dc_shell get_cells {u_middle} dc_shell set_app_var compile_auto_ungroup_override_wlm true dc_shell compile -auto_ungroup delay {u_middle/sum_reg u_middle/U1} 5、 当层次单元的子单元数量超过compile_auto_ungroup_area_num_cells变量默认值为30时无法解组如下所示。 dc_shell set_app_var compile_auto_ungroup_area_num_cells 1 dc_shell compile -auto_ungroup area dc_shell get_cells {u_middle} dc_shell set_app_var compile_auto_ungroup_area_num_cells 20 dc_shell compile -auto_ungroup area {u_middle/sum_reg u_middle/U1} compile_ultra命令 1、当层次单元设置了dont_touch属性时使用compile_ultra命令进行综合时无法自动解组如下所示。 ​dc_shell set_dont_touch [get_cells u_middle] dc_shell compile_ultra dc_shell get_cells {u_middle} 2、当使用set_ungroup命令设置层次单元的ungroup属性为false时使用compile_ultra命令进行综合时无法自动解组如下所示。   ​dc_shell set_ungroup [get_cells u_middle] false dc_shell compile_ultra dc_shell get_cells {u_middle} 3、当使用set_boundary_optimization命令禁止层次单元的边界优化时使用compile_ultra命令进行综合时无法自动解组如下所示。   ​dc_shell set_boundary_optimization [get_cells u_middle] false dc_shell compile_ultra dc_shell get_cells {u_middle} 4、在非拓扑模式下当层次单元的线负载模型与其父设计的线负载模型不同时使用compile命令进行综合时添加-auto_ungroup area选项无法自动解组除非设置compile_auto_ungroup_override_wlm变量默认值为false为true如下所示。  ​dc_shell set_wire_load_mode enclosed # 指定下层设计使用自己的线负载模型 dc_shell set_wire_load_model -name tsmc090_wl20 [current_design] dc_shell set_wire_load_model -name tsmc090_wl10 [get_cells u_middle] dc_shell compile_ultra dc_shell get_cells {u_middle} dc_shell set_app_var compile_auto_ungroup_override_wlm true dc_shell compile -auto_ungroup delay {u_middle/sum_reg u_middle/U1} 5、当使用compile命令进行综合时添加-no_autoungroup选项无法自动解组除非使用set_ungroup命令设置层次单元的ungroup属性为true如下所示。 dc_shell compile_ultra -no_autoungroup dc_shell get_cells {u_middle} dc_shell set_ungroup [get_cells u_middle] true dc_shell compile_ultra -no_autoungroup {u_middle/sum_reg u_middle/U1} 6、当使用compile命令进行综合时添加-no_boundary_optimization选项无法自动解组除非使用set_ungroup命令设置层次单元的ungroup属性为true如果使用该选项-no_autoungroup选项会自动设置如下所示。 dc_shell compile_ultra -no_boundary_optimization dc_shell get_cells {u_middle} dc_shell set_ungroup [get_cells u_middle] true dc_shell compile_ultra -no_boundary_optimization {u_middle/sum_reg u_middle/U1}
http://www.dnsts.com.cn/news/97106.html

相关文章:

  • 烟台做网站哪里好酒店网站规划建设方案书
  • 网站表单点击切换广州多少网络科技有限公司
  • 菜单设计制作网站网站建设与开发大作业
  • php网站建设网站建网站wordpress
  • 腾讯云10g数字盘做网站够么建设银行官方网站地址
  • 做那个免费视频网站软文营销的步骤
  • 备案期间网站关闭自学网站开发软件开发
  • 友情链接交换平台源码wordpress插件dx seo
  • 网站建设注意要点浙江省网站备案流程
  • 信阳专业网站建设外国风格网站建设价格
  • 建网站买什么主机关于棋牌游戏网站建设文案
  • 做网站公司 郑州电销外呼软件
  • 廊坊智能模板建站网站建设 学生作业
  • 网站编程需要什么语言手机网站 jquery 特效
  • 淄博网站建设公司乐达店面设计费用
  • 南昌装修公司宝塔 wordpress优化
  • 在线工具网站网站做app的软件有哪些
  • 网站 案例展示找做网站的公司
  • 网站开发交流群wordpress与织梦
  • 常州企业建站系统模板wordpress免费博客主题
  • 公司网站seo优化的怎么备案网站空间
  • 怎么做自助交易网站软件外包项目网站
  • 哪个网站上可以做外贸网站建设的种类
  • 有没有找项目的网站网站建设的品牌
  • 网站架构演变流程西安网站开发高端网站开发
  • 新手学做网站代码网站开发核心技术
  • 网页设计网站题目深圳做网站排名
  • 建湖营销型网站建设工作室电子商务网站建设知识点总结
  • 中企动力公司网站价格做网站的软件dw下载
  • 做电池的外贸网站做电影网站最牛的站长是谁